WHAT YOU DO AT AMD CHANGES EVERYTHING
We care deeply about transforming lives with AMD technology to enrich our industry, our communities, and the world. Our mission is to build great products that accelerate next-generation computing experiences – the building blocks for the data center, artificial intelligence, PCs, gaming and embedded. Underpinning our mission is the AMD culture. We push the limits of innovation to solve the world’s most important challenges. We strive for execution excellence while being direct, humble, collaborative, and inclusive of diverse perspectives.
AMD together we advance_
SMTS SILICON DESIGN ENGINEER
THE ROLE:
The focus of this role will involve driving the physical design flow from timing through final sign-off, collaborating closely with cross-functional teams to meet stringent power, performance, and area (PPA) targets on SerDes PHY IPs.
THE PERSON:
As a senior member of the SerDes IP Physical Design (PD) team, your primary responsibility will be overseeing the timing and implementation of crucial PHY IPs. You will focus mainly on the Design-For-Test (DFT) logic and its integration with operational mode logic. A strong grasp of DFT concepts is advantageous, as it provides a comprehensive perspective to achieve design specifications. This role demands profound technical expertise in physical design tools and methodologies, along with the capability to lead and mentor a group of physical design engineers in future.
KEY RESPONSIBILITIES:
- Lead and develop timing methodologies, establish SDC constraints, and automate processes for special timing checks, ensuring design convergence and managing ECOs effectively.
- Perform static timing analysis setup and sign-off for multi-corner, multi-voltage processes to align with PPA targets, initially at the hierarchical level and subsequently at the top-level, reviewing the timing arcs for the .lib generation.
- Collaborate closely with RTL, DFT, and IP teams to ensure smooth integration and address physical design concerns affecting scan shift and scan capture modes for DFT.
- Identify opportunities to optimize clock skew and insertion delay across various corners and modes.
- Evaluate the clock/reset-domain-crossing (CDC/RDC) issues at the netlisting stage and offer feedback on design fixes or establish waivers if the changes are not feasible.
- Implement power-saving strategies, such as power gating, multi-voltage domains, and clock gating, to meet low-power objectives while preserving performance standards.
- Create and refine custom scripts using Tcl, Perl, or Python to enhance workflow efficiency and streamline physical design operations.
- Mentor and support junior physical design engineers, disseminating best practices and providing technical guidance to elevate team proficiency and performance.
PREFERRED EXPERIENCE:
- Over 8-10+ years of professional experience in constraints generation, synthesis, static timing analysis (STA), and IP-level timing and physical design, with a preference for high-performance SerDes designs.
- Proven ability in timing analysis, convergence, timing ECOs, and .lib generation.
- Experience with STA closure on PHYs & understanding the timing requirements across digital and analog macro interfaces is a plus.
- Proficient in physical design tools such as Synopsys ICC2, Primetime, and the ASIC design flow.
- Skilled in scripting with Tcl, Python, or Perl to automate and streamline physical design tasks.
- Excellent problem-solving, leadership, and communication skills and values team culture.
- Capable of thriving in fast-paced environments and managing multiple projects simultaneously.
ACADEMIC CREDENTIALS:
- Master’s degree in computer engineering/electrical engineering
#LI-ST1
Benefits offered are described: AMD benefits at a glance.
AMD does not accept unsolicited resumes from headhunters, recruitment agencies, or fee-based recruitment services. AMD and its subsidiaries are equal opportunity, inclusive employers and will consider all applicants without regard to age, ancestry, color, marital status, medical condition, mental or physical disability, national origin, race, religion, political and/or third-party affiliation, sex, pregnancy, sexual orientation, gender identity, military or veteran status, or any other characteristic protected by law. We encourage applications from all qualified candidates and will accommodate applicants’ needs under the respective laws throughout all stages of the recruitment and selection process.
Benefits offered are described: AMD benefits at a glance.
AMD does not accept unsolicited resumes from headhunters, recruitment agencies, or fee-based recruitment services. AMD and its subsidiaries are equal opportunity, inclusive employers and will consider all applicants without regard to age, ancestry, color, marital status, medical condition, mental or physical disability, national origin, race, religion, political and/or third-party affiliation, sex, pregnancy, sexual orientation, gender identity, military or veteran status, or any other characteristic protected by law. We encourage applications from all qualified candidates and will accommodate applicants’ needs under the respective laws throughout all stages of the recruitment and selection process.
SMTS SILICON DESIGN ENGINEER
THE ROLE:
The focus of this role will involve driving the physical design flow from timing through final sign-off, collaborating closely with cross-functional teams to meet stringent power, performance, and area (PPA) targets on SerDes PHY IPs.
THE PERSON:
As a senior member of the SerDes IP Physical Design (PD) team, your primary responsibility will be overseeing the timing and implementation of crucial PHY IPs. You will focus mainly on the Design-For-Test (DFT) logic and its integration with operational mode logic. A strong grasp of DFT concepts is advantageous, as it provides a comprehensive perspective to achieve design specifications. This role demands profound technical expertise in physical design tools and methodologies, along with the capability to lead and mentor a group of physical design engineers in future.
KEY RESPONSIBILITIES:
- Lead and develop timing methodologies, establish SDC constraints, and automate processes for special timing checks, ensuring design convergence and managing ECOs effectively.
- Perform static timing analysis setup and sign-off for multi-corner, multi-voltage processes to align with PPA targets, initially at the hierarchical level and subsequently at the top-level, reviewing the timing arcs for the .lib generation.
- Collaborate closely with RTL, DFT, and IP teams to ensure smooth integration and address physical design concerns affecting scan shift and scan capture modes for DFT.
- Identify opportunities to optimize clock skew and insertion delay across various corners and modes.
- Evaluate the clock/reset-domain-crossing (CDC/RDC) issues at the netlisting stage and offer feedback on design fixes or establish waivers if the changes are not feasible.
- Implement power-saving strategies, such as power gating, multi-voltage domains, and clock gating, to meet low-power objectives while preserving performance standards.
- Create and refine custom scripts using Tcl, Perl, or Python to enhance workflow efficiency and streamline physical design operations.
- Mentor and support junior physical design engineers, disseminating best practices and providing technical guidance to elevate team proficiency and performance.
PREFERRED EXPERIENCE:
- Over 8-10+ years of professional experience in constraints generation, synthesis, static timing analysis (STA), and IP-level timing and physical design, with a preference for high-performance SerDes designs.
- Proven ability in timing analysis, convergence, timing ECOs, and .lib generation.
- Experience with STA closure on PHYs & understanding the timing requirements across digital and analog macro interfaces is a plus.
- Proficient in physical design tools such as Synopsys ICC2, Primetime, and the ASIC design flow.
- Skilled in scripting with Tcl, Python, or Perl to automate and streamline physical design tasks.
- Excellent problem-solving, leadership, and communication skills and values team culture.
- Capable of thriving in fast-paced environments and managing multiple projects simultaneously.
ACADEMIC CREDENTIALS:
- Master’s degree in computer engineering/electrical engineering
#LI-ST1